`timescale1ns/100ps`include"hex_to_7seg.v"moduledec7seg_tb();// Definicion de señales de entrada y salidareg[3:0]binary;wire[6:0]leds;//Instanciacion del modulohex_to_7segdut(.binary(binary),.leds(leds));// Variables para archivo, iteraciones y tiempointegerwrite_data;integeri;timet;initialbegin// Configuracion de archivos de salidawrite_data=$fopen("dec7seg_tb_output.txt","w");// Salida de simulacion y variables de salida$dumpfile("dec7seg_tb.vcd");$dumpvars(0,dec7seg_tb);// Configuracion de formato de tiempo$timeformat(-9,2," ns",10);// Simular todas las combinaciones posiblesfor(i=0;i<16;i++)beginbinary=i;#20;t=$time;$fdisplay(write_data,"Time = %t, binary = %b, leds = %b",t,binary,leds);end// Cerrar archivo de texto$fclose(write_data);// Terminar simulacion$display("Test completed");$finish;endendmodule;